Контур 455 кгц своими руками: Катушки ПЧ контуров из импортных радиоприемников

Схема. Приемник для приема AM/CW/SSB сигналов в диапазоне 3.5-22мгц

Этот приемник предназначен для приема любительских и радиовещательных станций в широком непрерывном диапазоне от 3,5 до 22 МГц. Настройка аналоговая, — с помощью двухсекционного блока конденсаторов переменной емкости и роликово-ниточного верньерно-шкального механизма. Шкала настройки — линейка длиной почти 40 см. Схема — транзисторная, Корпус — деревянный, лакированный, монтаж — объемно-печатный на «пяточках», вырезанных в фольге листа фольгированного стеклотекстолита. Признаю, сейчас все это выглядит весьма примитивно, но хотелось сделать именно такой вот «ностальгический» коротковолновый приемник.

И все же, в приемнике использованы очень доступные и недорогие радиодетали, что позволяет собрать его не только городскому, но сельскому радиолюбителю. Более того, практически все детали можно взять с разборки старых телевизоров и другой аппаратуры.
Принципиальная схема приемника показана на рисунке в тексте. Схема супергетеродинная с одним преобразованием частоты.

Сигнал от антенны поступает на входной контур L1-C2-C4.1 через отвод катушки L1 и переменный резистор R16, который служит регулятором чувствительности. Автоматического регулятора коэффициента усиления данный приемник не имеет, — регулировка чувствительности осуществляется только вручную, этим резистором. Причем, на самом входе приемника, — до любых транзисторных каскадов. Это позволяет, при приеме мощных радиостанций полностью исключить перегрузку преобразователя частоты, а при приеме слабых и удаленных радиостанций обеспечить наибольшую чувствительность, которая не будет снижаться системой АРУ, ошибочно реагирующей на помехи.

Входной контур перестраивается одной из секций переменного конденсатора С4 с воздушным диэлектриком. Здесь используется двухсекционный конденсатор типа КПЕ2В емкостью 10-495 пФ на секцию, от старой радиолы или лампового приемника. Конденсатор СЗ установлен для защиты от возможного замыкания в переменном конденсаторе.
Преобразователь частоты выполнен на транзисторах VT1 и VT2. Это преобразователь с совмещенным гетеродином, выполненный на основе каскодного усилительного каскада. Входной сигнал от входного контура через катушку связи L2 поступает на базу транзистора VT1, выполняющего функции как смесителя, так и гетеродина. Для входного сигнала он включен по схеме с общим эмиттером, а в качестве гетеродина. — по схеме с общим коллектором.

Частота гетеродина задается контуром L7-С20-С19-С4.2. Конденсатор С19 обеспечивает сопряжение настроек входного и гетеродинного контура с учетом промежуточной частоты равной 455 кГц. Конечно такой простой способ сопряжения не дает высокой точности, и поэтому чувствительность приемника в пределах всего диапазона 3,5-22 МГц оказывается неравномерной.

Промежуточная частота выделяется в контуре L3-C8 и через катушку связи поступает на полосовой пьезокерамический фильтр Q1 с средней частотой 455 кГц. Здесь используется доступный пьезофильтр от импортного карманного радиоприемника с АМ-диапазоном. Поэтому, промежуточная частота равна 455 кГц. Используя отечественный фильтр на 465 кГц промежуточная частота будет 465 кГц. Разумеется, можно применить 2-3-звен-ный LC-фильтр сосредоточенной селекции, но настройка приемника сильно усложнится.

Усилитель промежуточной частоты собран на транзисторах VT3 и VT4 образующих такой же каскодный усилитель как на транзисторах VT1 и VT2, но чисто усилитель, без смесительных и гетеродинных функций (эмиттерная цепь VT3 замкнута на общий минус, а не идет на гетеродинную катушку).

Контур C12-L5 является преддетекторным контуром Демодулятор выполнен на транзисторе VT5. Режим его работы зависит от состояния S1. В показанном на схеме положении происходит прием телеграфных и телефонных станций (CW и SSB) При этом используется опорный генератор на транзисторе VT8. Частота генератора определяется керамическим резонатором Q2, — 455 кГц. Если в приемнике будет использовать другая промежуточная частота, например, 465 кГц, то соответственно и резонатор должен быть на такую же частоту. В принципе, можно отказаться от резонатора и использовать LC-контур, например, контур ПЧ от карманного АМ-приемника, или такой же контур, как, например, L3-C8, подключив его между базой VT8 и общим минусом через разделительный конденсатор емкостью 1000 пФ.

Опорный генератор питается от параметрического стабилизатора на VD1.
При приеме CW и SSB напряжение опорной частоты с эмиттера VT8 поступает на эмиттер транзистора VT5, выполняющего роль демодулятора. В данном транзисторе происходит преобразование частоты и на его коллекторе выделяется комплексный сигнал суммарно-разностной частоты. Суммарная частота подавляется простейшим ФНЧ R11-С14, а разностная через него проходит и поступает на регулятор громкости R12.
При работе по приему AM сигналов переключатель S1 нужно установить в противоположное показанному на схеме положение. При этом, эмиттер VT5 замыкается на общий минус через S1.1, а опорный генератор выключается S1.2. Теперь транзистор VT5 работает как эффективный транзисторный детектор высокой чувствительности. На его выходе выделяется низкочастотный сигнал, который поступает на R12.

Низкочастотный телефонный усилитель выполнен на транзисторах VT6 и VT7. Нагрузкой являются головные телефоны сопротивлением не ниже 30 Ом.
Питается приемник от простого сетевого источника на силовом маломощном трансформаторе Т1 и диодном мосте VD2. Напряжение питания схемы получается около 8V Лампочки Н1-НЗ служат для подсветки шкалы настройки приемника и одновременно являются индикаторами включенного состояния.

Вся схема собрана объемным монтажом «на пяточках» на панели спаянной из фольгированного стеклотекстолита. Панель имеет размеры 20×15 см. На панели имеются экранирующие секции, сделанные их полос такого же фольгированного стеклотекстолита шириной около 2 см. Всего пять секций, -для опорного генератора (VT8), для преобразователя и входной цепи (VT1-VT2), для усилителя ПЧ и ФПЧ (VT3-VT4), для демодулятора (VT5) и для низкочастотного усилителя (VT6-VT7).

Секция с преобразователем большая, она сделана так чтобы контура гетеродина и входной были расположены с разных сторон от переменного конденсатора С4 который так же, установлен на этой общей панели. Привод шкалы С4 обычный, применяемый во многих приемниках, — большой шкив, два ролика, один из которых насажен на ручку настройки и веревочная шкала с пружинкой-натяжителем. Шкала линейная, — бумажная. Лампы Н1-НЗ расположены над шкалой, так чтобы они были прикрыты передней панелью корпуса приемника и светили не вам в глаза, а только на шкалу.

Корпус приемника — деревянный, прямо-угольный размерами 430x115x200 мм
Все транзисторы КТ3102А. Можно использовать любые другие КТ3102, либо более старые КТ315, КТ312.
Как уже было сказано, пъезокерамический фильтр Q1 — от любого радиовещательного приемника с AM диапазонами.
Переменный конденсатор С4 — сдвоенный с воздушным диэлектриком от старой радиолы «Рекорд-354». Подойдет любой 10-495 пФ.

Силовой трансформатор Т1 — китайский с вторичной обмоткой на 6V. Можно использовать трансформатор от источника питания телевизионной игровой приставки типа «Денди» или старый ТВК-110 от лампового телевизора. В общем, напряжение на С27 должно быть 8-10V.
Переменный резистор R1 нужно установить в наибольшей близости к антенному гнезду.
Для намотки всех катушек использованы каркасы от модулей цветности старых телевизоров типа УСЦТ. Это каркасы диаметром 5 мм с ферритовыми подстроечными сердечниками.

Катушка L1 — 19 витков с отводом от 5-го. Катушка L2 -5 витков. Катушки L3, L5 и L9 -по 85 витков. Катушки L4, L6, L10 — по 10 витков. Катушка L7 — 17 витков, L8 — 5 витков с отводом от 2-го. Катушки L1, L2, L7, L8 намотаны проводом ПЭВ 0,23. Все остальные катушки намотаны проводом ПЭВ 0,12, виток к витку.
Сначала наматывают контурную катушку, затем на её поверхность наматывают катушку связи. Витки можно скрепить парафином.

Налаживание традиционно для супергетеродинного приемника. При настройке контуров ПЧ можно пользоваться как генератором сигналов, так и любым радиовещательным приемником с AM диапазонами и такой же промежуточной частотой как в данной схеме В этом случае сигнал с частотой ПЧ нужно снимать с преддетекторного контура образцового приемника и подавать через конденсатор небольшой емкости сначала на базу VT3, затем на базу VT1 (предварительно отключив гетеродин замкнув перемычкой эмиттер VT1 на общий минус).
Настройку гетеродина, укладку диапазона и сопряжение настройки входного контура нужно делать по генератору ВЧ либо принимая сигналы радиостанций известной частоты, и сверяясь со шкалой образцового приемника.
Последний этап — разметка шкалы, это удобнее всего делать принимая сигналы генератора ВЧ с AM модуляцией, но можно и по образцовой приемной аппаратуре.

Post Views:
2 331

Кв приемник на 160 метров • HamRadio

от Foxiss

Кв приемник на 160 метров предназначен для приема любительских радиостанций, работающих в диапазоне 1,8 МГц, но, изменив параметры входного и гетеродинного контуров его можно перестроить на любой другой диапазон. В таблице 1 приведены изменения для работы в диапазонах 3,5 МГц, 7 МГц, 14 МГц, 21 МГц и 28 МГц. Схема кв приемник на 160 метров выполнен на двух микросхемах, — TDA1072E и LM386.

Микросхема TDA1072E предназначена для схемы АМ-радиовещательного супергетеродинного приемника. Здесь на ней выполнен супергетеродинный приемный тракт радиостанций с SSB. Ширина полосы и промежуточная частота зависит от электромеханического фильтра, соответственно, 3 кГц и 500 кГц. Полоса -верхняя боковая. Сигнал от антенны поступает на входной контур L1-C1-C2. Контур настроен на середину диапазона. Связь с антенной оптимизируется емкостным трансформатором на С1 и С2. Входной с катушки связи L2 поступает на симметричный вход преобразователя частоты микросхемы А1 через выводы 15 и 14.

Контур гетеродина подключен к выводам 12 и 11 микросхемы А1. Перестройка в диапазоне производится изменением частоты гетеродина с помощью переменного конденсатора СЗ, который входит в состав гетеродинного контура L3-C5-C4-С3. Конденсатор С4 ограничивает перекрытие по емкости переменного конденсатора до необходимой величины.

Выход смесителя — вывод 1, с него сигнал суммарно-разностных частот поступает на контур L4-C12, настроенный на 500 кГц, и с катушки связи L5 на электромеханический фильтр Q1 типа ФЭМ-018-500-ЗВ. Выделение боковой полосы и основная селективность — ложится на этот фильтр.

С выхода фильтра сигнал поступает на УПЧ микросхемы А1 через вывод 3. Вместо детекторного контура АМ-детектора, на вывод 5 поступает сигнал частотой 500 кГц от генератора опорной частоты на транзисторе VT1. Частота генератора задается кварцевым резонатором Q2 на частоту 500 кГц. В результате, АМ-детектор микросхемы А1 работает как SSB-демодулятор. Суммарная и разностная частоты выделяются на выводе 6 -выходе амплитудного детектора микросхемы А1. Суммарную частоту подавляет простейший фильтр C16-R7-C17. Выделенный НЧ сигнал поступает на резистор R8 — регулятор громкости, и далее на УНЧ на микросхеме А2. УНЧ на микросхеме А2 нагружен миниатюрным динамиком В1.

Питается кв приемник на 160 метров от батарейного источника напряжением 9V. В приемнике использованы резисторы МЯТ 0,125 (или импортные аналоги), конденсаторы типа К50-35, КМ, КТ, К10-7 и другие аналогичные. Переменный конденсатор типа КПВ-2 с воздушным диэлектриком и редуктором. Из его трех секций используется только одна. Транзистор КТ3102 с любым буквенным индексом. Катушки L4 и L5 намотаны на каркасе от малогабаритного советского приемника с AM-диапазоном и частотой ПЧ 465 кГц. Катушка L4 содержит 60 витков провода ПЭВ 0,12, катушка L5 содержит 15 витков провода ПЭВ 0,12. Для контурных катушек входного и гетеродинного контуров использованы полистироловые каркасы диаметром 7 мм с подстроечными сердечниками из карбонильного железа, с резьбой.

Катушка L1 содержит 80 витков провода ПЭВ 0,12. Катушка связи L2 намотана на её поверхность ближе к середине катушка. Катушка L2 содержит 10 витков провода ПЭВ 0,12. Катушка L3 почти такая же как L1, только у неё нет катушки связи. Кв приемник на 160 метров можно сделать и на любой другой диапазон, изменив параметры входного и гетеродинного контуров. В таблице 1 приведены изменения для работы на частотах в диапазонах 3,5 МГц, 7 МГц, 14 МГц, 21 МГц и 28 МГц.

Можно сделать кв приемник на 160 метров на другой диапазон или на несколько диапазонов. В этом случае можно сделать переключатель контуров, например, на основе галетного переключателя, либо сделать что-то вроде сменных картриджей. На основной плате поставить разъем, в который включать отдельные платы-блоки, с расположенными на них контурами для соответствующего диапазона. При отсутствии электромеханического фильтра можно сделать фильтр из двух пьезокерамических фильтров на 455 кГц от карманных приемников, включив их последовательно. При этом кварцевый резонатор Q2 должен будет быть тоже на 455 кГц. Но селективность, в таком варианте, будет существенно ниже, чем с электромеханическим фильтром, а полоса шире.

Рубрики Приемная техника

© 2022 HamRadio • Создано с помощью GeneratePress

Генератор сигналов промежуточной частоты 455 кГц с АМ-модуляцией

Введение

Чтобы изучить и понять, как работают радиоприемники, я собираю АМ-радио из комплекта AM-радиоприемника ELENCO. Часть тестирования сборки комплекта (см. здесь) требует подключения генератора сигналов. Требуемый сигнал представляет собой несущую 455 кГц, содержащую амплитудно-модулированный сигнал 1 кГц. В соответствии с инструкциями комплекта, при тестировании ПЧ-цепи радиостанции сигнал генератора сигналов ПЧ AM должен вызывать звуковой сигнал, если вы правильно построили радиостанцию.

После долгих поисков и недель макетирования различных схем, которые на самом деле не делали то, что я хотел, я наткнулся на схему в выпуске австралийского журнала за 2008 год под названием Silicon Chip (см. здесь). Схема называется Модулированный осциллятор Minispot 455 кГц Мауро Грасси. Я предполагаю, что копировать можно бесплатно, поскольку на веб-странице нет информации об авторских правах. Однако, если вы воспроизведете схему здесь, пожалуйста, укажите ее источник.

Схема состоит из классического генератора релаксации, использующего пару биполярных транзисторов с синхронизирующими цепями C-R для создания слышимого тона на частоте около 450 Гц. Затем он подается через третий BJT, где частота 455 кГц микшируется из керамического резонатора.

Керамический резонатор — довольно распространенная деталь, часто используемая в пультах дистанционного управления. Как ни странно, на той неделе, когда я обнаружил схему, мне дали починить DVD-плеер Toshiba. его нельзя было починить, но пульт дистанционного управления действительно дал керамический резонатор на 455 кГц. Если вам не повезло, что у вас есть старый пульт дистанционного управления, который можно разобрать, вы можете легко купить пакет из 10 керамических резонаторов на eBay примерно за 2 фунта стерлингов. В качестве альтернативы, попробуйте местный центр утилизации — в моем местном центре есть магазин «второго использования», где они перепродают много совершенно хороших, ненужных вещей. Там я нашел пульты TV/DVD по 50 пенсов за штуку.

Схема

Приведенная ниже схема — это схема, которую я перерисовал из оригинала после макетирования схемы, чтобы убедиться, что она работает удовлетворительно. Перерисовка была вызвана тем, что я изменил типы транзисторов и номиналы конденсаторов. Если кликнуть по картинке, откроется полноразмерная для просмотра/печати.

Моя перерисованная схема. Слегка модифицированная версия оригинала из журнала Silicon Chip.

Parts list

X1      455kHz Ceramic Resonator

D1  1N4004

SW1 SPST switch

Q1,2    BC548B transistor

Q3 2N2222 transistor

LED1 Красный светодиод 5 мм

R1 1,5k

R2,3 33k

R4,5 1k

R5 470R

R7 22k

R8 10M

C1,2 47nF

C3 220uF Electrolytic

C4,5 68pF

C6 27pF

extras : Батарея 9 В, b зажим для батареи и w пламя для антенны

Схема подключения


Я изменил выходной конденсатор с исходных 22 пФ на 27 пФ, так как это все, что у меня было. Не думаю, что это повлияло на функциональность.

Я также изменил выбор NPN BJT из исходной схемы, чтобы он соответствовал тому, что у меня было. Я попытался использовать BC549 для Q3, но обнаружил, что модуляция 450 Гц не такая четкая при просмотре на моем осциллографе. Замена на 2N2222 (который при тестировании показал меньшее усиление, чем BC549) сделала модуляцию на моем осциллографе более чистой.

Для антенны я взял 4-дюймовый кусок одножильного провода. Я подсчитал, что надлежащая 1/4-волновая антенна для 455 кГц будет на расстоянии более 500 футов! Немного глупо. Я не уверен, что мне следует использовать вместо этого несколько футов скрученного провода… Я думаю, мощности недостаточно, чтобы беспокоиться об отраженном сигнале от конца антенны (я всего лишь новичок, поэтому еще мало разбираюсь в радиоантеннах. Результат 4 «Провод в том, что моя портативная радиостанция Sony AM настроена на центр hte AM диапазона, она орет, когда подносишь антенну к задней части корпуса — так что думаю работает нормально)

Схема потребляет около 12 мА от батареи PP3 9 В. Половина этого светодиода показывает, что он включен! без светодиода это больше похоже на 6,5 мА. Если вы планируете эксплуатировать схему в течение длительного времени (я не могу придумать ни одной причины, по которой вы хотели бы этого!), тогда не используйте LED1 и R6.

В готовом виде издает звуковой сигнал частотой около 455 Гц. Это меньше, чем я рассчитывал, но гораздо удобнее слушать при настройке катушек ПЧ на вашем радио 🙂 Если вы хотите увеличить частоту слышимого тона, уменьшите R2/C1 и R3/C2. если вы хотите лучше понять часть схемы релаксационного генератора, поищите в Интернете «2-транзисторный генератор», есть много ресурсов, которые ясно объясняют это.

Готовая схема на плате из медных полос

Я построил схему, используя плату из медных полос. 1,5х4 дюйма вполне достаточно. Вы можете сделать его более компактным, если хотите, но я хотел, чтобы расположение компонентов было визуально похоже на схему. Я думаю, что когда вы изучаете электронику, стоит потратить несколько дополнительных копеек, чтобы иметь макет, который вы можете легко связать со своей схемой. Устранение неполадок с моей хитрой пайкой, кажется, является обычной частью моего изучения электроники.

The finished circuit powered up

Probing the signal output
Note I laid out the circuit to be visually similar to the schematic.
Q3 и кварцевый резонатор 455 кГц находятся под релаксационной частью схемы генератора.

Проверка несущей частоты 455 кГц
Кристаллы имеют номинальную частоту 455 кГц, но на них влияют емкость и температура.
Мой частотомер показывает 455,1 кГц, что мне достаточно.

Мой осциллограф показывает звуковую модуляцию 450 Гц поверх несущего сигнала 455 кГц.
При 0,2 В/дел сигнал составляет около 1,6 Впик-пик. доска. они здесь для справки, если вы хотите их использовать. вы могли бы сделать схему намного более компактной, но, как я уже говорил ранее, цель состояла в том, чтобы создать плату, которую я мог бы легко повторить со схемой.

Top side — shows component layout and which strips have 9V, GND and ANTENNA
Top side — shows ‘ghost’ of components and breaks and solder points снизу
Нижняя сторона — видны обрывы и точки пайки

0 #4840001

Генератор несущей частоты 455 кГц с кварцевой синхронизацией и амплитудной модуляцией звукового тона (AM) с фиксированной частотой для выравнивания ПЧ.

Вот небольшая демонстрация…

Примечания

Трансформаторы в AM-радиоприемниках обычно настроены на промежуточную частоту 455 кГц,
это означает, что полосовые фильтры пытаются изолировать несущую промежуточной частоты перед выделением сигнала.

Таким образом, тестовый сигнал на частоте 455 кГц, модулированный звуковым тоном, является полезной частью тестового оборудования для звуковой проверки настройки фильтров.

Этот проект представляет собой сборку очень распространенного модулированного дизайна 455 кГц, и он работает как шарм!
Одним из многих источников дизайна является статья Silicon Chip от января 2008 года.
Модулированный осциллятор Minispot 455 кГц.

Это простая схема, состоящая из двух основных частей:

  • генератор с кварцевой синхронизацией 455 кГц, обеспечивающий несущую
  • нестабильный мультивибратор RC, работающий на слышимой частоте, который используется для модуляции несущей

Схема работает в широком диапазоне напряжений (примерно от 3 В и выше).

Частота звукового сигнала определяется значениями R1, R2, C1 и C2 (при этом R1==R2, а C1==C2 поддерживает ~50% рабочего цикла).
При R1 = R2 = 33 кГц возможные значения C1/C2 включают:

С1, С2 Прогнозируемая частота Измеренная частота Примечание
47 нФ 465 Гц 410 Гц Используется в оригинальном и многих производных проектах
22 нФ 994 Гц 1,0 кГц Что я решил использовать

Прогнозируемая частота рассчитывается по формуле:

 f = 1/(ln(2) * (R1 * C1 + R2 * C2))
 

Подробнее о конструкции RC-генератора см. в LEAP#049.

Макет сборки

Первоначально я тестировал схему на макетной плате с источником питания 5 В.

Следующие трассировки фиксируют сигнал звукового генератора.

Добавить комментарий

Ваш адрес email не будет опубликован. Обязательные поля помечены *