Сдвиговый регистр это: Сдвиговые регистры: описание, подключение, схема, характеристики

Что такое регистр? Регистр сдвига.

Регистр это устройство, выполненное на триггерах для выполнения ряда действий с двоичными числами. Для тех, кто не знает, что такое триггер, рекомендуем познакомиться с простейшим RS-триггером.

Наиболее простая функция регистров — это запоминание числа и его длительное хранение. Эти устройства так и называются – регистры хранения. Вот простейший пример.

На входы D0 – D2 подаётся число, которое необходимо сохранить. Как только на входе С появляется импульс синхронизации, число записывается в триггер, изменяя их состояние. На рисунке показан трёхразрядный регистр хранения. При подаче на входы числа 1112 оно же появится на прямых выходах триггеров (Q0Q2). На инверсных выходах (Q0 Q2) будет, естественно 0002. Сигналом R (Reset) или сброс, триггеры устанавливаются в нулевое состояние.

Обычно используются регистры, состоящие из 4, 8, или 16 триггеров. Изображение четырёхразрядного регистра на принципиальных схемах может быть таким.

На рисунке не показаны инверсные выхода триггеров и сигнал R. Регистры всегда обозначаются латинскими буквами RG. Если регистр сдвигающий, то под обозначением рисуется стрелка направленная влево, вправо или двойная.

Сдвигающие регистры или регистры сдвига.

Регистр сдвига это устройство, состоящее из нескольких последовательно соединённых триггеров, число которых определяет разрядность регистра. Регистры широко используются в вычислительной технике для преобразования кодов. Параллельного в последовательный и наоборот.

Кроме того сдвигающие регистры являются основой (АЛУ) арифметико-логического устройства, так как при сдвиге записанного в регистр двоичного числа на один разряд влево производится умножение числа на два, а при сдвиге числа на один разряд вправо число делится на два. Поэтому наибольшее распространение получили реверсивные или двунаправленные регистры.

Рассмотрим четырёхразрядный регистр сдвига, преобразующий последовательный двоичный код в параллельный. Применение последовательного кода оправдано тем, что по одной линии можно передавать огромные массивы информации. Таким примером может служить универсальная последовательная шина — USB порт любого устройства. Число триггеров в данном регистре может быть любым. Достаточно соединить прямой выход Q3 с D входом следующего триггера и так далее до достижения необходимой разрядности.

Регистр работает следующим образом. Первый информационный бит поступает на вход D0. Одновременно с этим битом приходит тактовый синхроимпульс на вход С. Входы С всех триггеров входящих в регистр, объединены между собой. С приходом первого тактового импульса уровень, находящийся на входе D0 записывается в первый триггер и с выхода Q0 приходит на вход следующего триггера, но записи во второй триггер не происходит, так как синхроимпульс уже закончился.

При поступлении следующего тактового импульса уровень, присутствующий на входе второго триггера запоминается в нём и поступает на вход третьего триггера. Одновременно следующий информационный бит запоминается в первом триггере. После прихода четвёртого тактового импульса в четырёх триггерах регистра будут записаны логические уровни, которые последовательно поступали на вход D0.

Допустим это уровни 01102. Тогда это двоичное число можно отобразить, подключив к выходам триггеров светодиоды. Так рассмотренный регистр изображается на принципиальной схеме.

Видно, что на условном изображении присутствует стрелка — указатель того, что это сдвиговый регистр.

Рассмотрим, как работает четырёх разрядный универсальный регистр сдвига К155ИР1 (аналог — SN7495N). Вот его внутреннее устройство.

Регистр содержит четыре D-триггера, которые соединены между собой с помощью дополнительных логических элементов И – ИЛИ, которые позволяют реализовать различные функции. На схеме:

  • V2 – вход управления. С его помощью выбирается режим работы регистра.

  • Q1 – Q4 выходы триггеров с которых снимается параллельный код.

  • V1 – вход для подачи последовательного кода.

  • C1, C2 – тактовые синхроимпульсы.

  • D1 – D4 – входы для записи параллельного кода.

Алгоритм работы регистра следующий. Если на вход V2 подать низкий потенциал, тактовые импульсы на C1, а на вход V1 подавать информационные биты, то регистр осуществляет сдвиг вправо. После приёма четырёх разрядов на выходах триггеров Q1 – Q4 мы получаем параллельный код. Таким образом осуществляется преобразование последовательного кода в параллельный.

Для обратного преобразования параллельный код записывается по входам D1 – D4, с подачей на вход V2 высокого потенциала и тактовых импульсов на вход С2. Затем подавая на вход V2 низкий потенциал, а тактовые импульсы на вход С1 мы сдвигаем записанный код, а с выхода последнего триггера снимается последовательный код.

По своей структуре это один из самых простых регистров сдвига.

Регистры сдвига в цифровой технике могут послужить основой, на которой собираются узлы с интересными свойствами. Это, например, кольцевые счётчики, которые называются счётчики Джонсона. Такой счётчик имеет количество состояний вдвое большее, чем число составляющих его триггеров. Например, если кольцевой счётчик состоит из трёх триггеров, то он будет иметь шесть устойчивых состояний. На вход счётчика ничего не подаётся кроме синхроимпульсов. В первоначальном состоянии все триггеры «сброшены», то есть на прямых выходах триггеров логические нули, а вот на входе D первого триггера с инверсного выхода третьего триггера находится логическая единица. Начнём подавать тактовые импульсы и процесс пошёл.

На таблице истинности хорошо видно, как изменяется двоичный код при поступлении шести тактовых импульсов.

NQ2Q1Q0
1001
2011
3111
4110
5100
6000

Теперь вы знаете, что такое регистр и как он может использоваться на практике. Основа любого регистра — это триггер. Число триггеров в регистре определяет его разрядность. Те, кто увлекается микроконтроллерами знает, что важнейший элемент любого микроконтроллера, будь то PIC, AVR, STM или MSP, это регистр.

Главная &raquo Цифровая электроника &raquo Текущая страница

Также Вам будет интересно узнать:

  • Основы цифровой электроники.

 

Сдвиговый регистр | Электроника для всех

Начинающим
DI HALT 120 комментариев

Иногда требуется ОЧЕНЬ много выходных портов. Особенно если хотим сделать что нибудь на светодиодах. Гирлянду какую-нибудь навороченную. Что делать? Брать под это дело ATMega128 с ее полусотней выводов? Избыточно — для ламеров. Ставить i2с расширитель портов? Дорого. Для мажоров. Тут на помощь из вековых глубин выплывает старая добрая дискретная логика. На этот раз нас выручит грошовый сдвиговый регистр. Возьму, для примера, 74HC164 он же, для любителей совковых трешевых микросхем в неубиваемом каменном корпусе, наш КM555ИР8.

У него есть 8 выходов и четыре входа. R-сброс, С-тактовый, А1 и А2 вход. На самом деле, внутри они заведены через логический элемент 2И-НЕ и идут на D триггеры. D — это такой тип триггера, который по тактовому импульсу схватывает и отправляет на выход то, что у него на входе. Как видишь, тут они цепью стоят ,передавая бит от одного к другому и нет принципиальной разницы сколько их тут будет, восемь штук или восемь миллиардов. Но чем больше, тем дольше по этой эстафете гнать данные до конца. Поэтому мы смело можем эти регистры соединять последовательно.
Получается вот такая схема:

От МК, как видно, требуется только четыре выхода. Одним (RESET) мы сбрасываем состояние регистра. Из второго (Data) побитно вылазит байтик, а тактовый CLC обеспечивает продвижение битов по регистру. Самих регистров тут три. Они сцеплены паровозом. Когда переполняется первый, то биты из него вылазят во второй, потом в третий. Итого, 24 вывода.
Катоды диодов подключены все вместе через транзистор и как только будет слово мы подаем сигнал Ready и зажигаем всю эту ботву.

Наполнять регистр просто:
1) Поднимаем и держим RESET в 1
2) Выдаем первый (старший) бит на Data.
3) Опускаем в 0 и поднимаем в 1 тактовый выход. На восходящем фронте происходит занос в регистр и сдвиг всей цепочки на один шаг.
4) Повторить со второго пункта пока все биты не выдадим.

А для сброса достаточно уронить Reset в ноль на пару микросекунд.
Все просто 🙂

З.Ы.
Кружок на входе регистра означает, что вход инверсный. Т.е. подал ноль — сработало
Треугольник на входе показывает по какому фронту произойдет срабатывание. Запомнить просто: _/ \_ — это, типа, импульс. А треугольник, как стрелочка, указывает на нужный фронт. ->_/ \_ передний (восходящий фронт) и _/ \_<- задний (нисходящий фронт)

Ну и даташитик напоследок, а еще протеусовская модель, где можно вручную переключателем поперетыкать уровень на входе и потыкать кнопку тактового входа. Правда я там поленился на диоды питание заводить — там по квадратикам логических уровней итак все видно хорошо 🙂

ИнтерфейсЦифра

Спасибо!!! Вы потрясающие! Всего за месяц мы собрали нужную сумму в 500000 на хоккейную коробку для детского дома Аистенок. Из которых 125000+ было от вас, читателей EasyElectronics!!! Были даже переводы на 25000+ и просто поток платежей на 251 рубль. Это невероятно круто!!! Сейчас идет заключение договора и подготовка к строительству!

А я встрял на три года, как минимум, ежемесячной пахоты над статьями :)))))))))))) Спасибо вам за такой мощный пинок!!!

Сдвиговые регистры в цифровой логике

Триггеры могут использоваться для хранения одного бита двоичных данных (1 или 0). Однако для хранения нескольких битов данных нам нужно несколько триггеров. Необходимо подключить N триггеров, чтобы хранить n бит данных. Регистр — это устройство, которое используется для хранения такой информации. Это группа последовательно соединенных триггеров, используемых для хранения нескольких битов данных.

Информация, хранящаяся в этих регистрах, может быть передана с помощью регистры сдвига . Сдвиговый регистр — это группа триггеров, используемых для хранения нескольких битов данных. Биты, хранящиеся в таких регистрах, могут перемещаться внутри регистров и в/из регистров с помощью тактовых импульсов. N-битный сдвиговый регистр может быть сформирован путем соединения n триггеров, где каждый триггер хранит один бит данных.
Регистры, которые сдвигают биты влево, называются «регистрами сдвига влево».
Регистры, которые сдвигают биты вправо, называются «регистрами сдвига вправо».

Сдвиговые регистры бывают четырех типов. Это:

  1. Последовательный вход Последовательный выход
  2. Последовательный вход Параллельный выход
  3. Параллельный вход Последовательный выход
  4. Параллельный вход

Последовательный вход Сдвиговый регистр (SISO) –

Сдвиговый регистр, который обеспечивает последовательный ввод (один бит за другим через одну линию данных) и производит последовательный вывод, называется регистром сдвига Serial-In Serial-Out. Поскольку есть только один выход, данные покидают регистр сдвига по одному биту за раз в последовательном шаблоне, отсюда и название регистра сдвига с последовательным вводом и выводом.

Приведенная ниже логическая схема показывает сдвиговый регистр последовательного ввода-вывода. Схема состоит из четырех D-триггеров, соединенных последовательно. Все эти триггеры синхронны друг с другом, поскольку на каждый триггер подается один и тот же тактовый сигнал.

Вышеприведенная схема является примером сдвигового правого регистра, принимающего последовательные входные данные с левой стороны триггера. В основном SISO используется в качестве элемента задержки.

Регистр сдвига последовательного входа и параллельного выхода (SIPO) —

Сдвиговый регистр, который обеспечивает последовательный ввод (один бит за другим через одну линию данных) и производит параллельный вывод, известен как сдвиговый регистр Serial-In Parallel-Out.

Приведенная ниже логическая схема представляет сдвиговый регистр с последовательным входом и параллельным выходом. Схема состоит из четырех D-триггеров, которые соединены между собой. Сигнал очистки (CLR) подключается в дополнение к тактовому сигналу ко всем 4 триггерам для их СБРОСА. Выход первого триггера подключается ко входу следующего триггера и так далее. Все эти триггеры синхронны друг с другом, поскольку на каждый триггер подается один и тот же тактовый сигнал.

Вышеприведенная схема представляет собой пример сдвига правого регистра, который принимает последовательные входные данные с левой стороны триггера и производит параллельный вывод. Они используются в линиях связи, где требуется демультиплексирование линии данных на несколько параллельных линий, поскольку основное использование регистра SIPO заключается в преобразовании последовательных данных в параллельные данные.

Сдвиговый регистр с параллельным вводом и последовательным выводом (PISO) —

Сдвиговый регистр, допускающий параллельный ввод (данные подаются отдельно на каждый триггер и одновременно) и производящий последовательный вывод, называется параллельным вводом. Сдвиговый регистр последовательного выхода.

Приведенная ниже логическая схема показывает сдвиговый регистр с параллельным входом и последовательным выходом. Схема состоит из четырех D-триггеров, которые соединены между собой. Тактовый вход напрямую подключен ко всем триггерам, но входные данные подключаются к каждому триггеру индивидуально через мультиплексор на входе каждого триггера. Выход предыдущего триггера и параллельный ввод данных подключены к входу MUX, а выход MUX подключен к следующему триггеру. Все эти триггеры синхронны друг с другом, поскольку на каждый триггер подается один и тот же тактовый сигнал.

Сдвиговый регистр Parallel in Serial out (PISO), используемый для преобразования параллельных данных в последовательные данные.

Сдвиговый регистр с параллельным вводом и параллельным выводом (PIPO) —

Сдвиговый регистр, допускающий параллельный ввод (данные подаются на каждый триггер отдельно и одновременно), а также производящий параллельный вывод, известен как параллельный вывод. Сдвиговый регистр с параллельным выходом.

Приведенная ниже логическая схема показывает сдвиговый регистр с параллельным входом и выходом. Схема состоит из четырех D-триггеров, которые соединены между собой. Сигнал очистки (CLR) и тактовые сигналы подключены ко всем 4 триггерам. В этом типе регистра нет взаимосвязей между отдельными триггерами, поскольку не требуется последовательного сдвига данных. Данные подаются в качестве входных данных отдельно для каждого триггера, и таким же образом выходные данные также собираются отдельно для каждого триггера.

Сдвиговый регистр с параллельным выходом (PIPO) используется в качестве временного запоминающего устройства и, подобно сдвиговому регистру SISO, действует как элемент задержки.

Двунаправленный сдвиговый регистр —

Если мы сдвинем двоичное число влево на одну позицию, это будет эквивалентно умножению числа на 2, а если мы сдвинем двоичное число вправо на одну позицию, это будет эквивалентно делению число на 2. Для выполнения этих операций нам нужен регистр, который может сдвигать данные в любом направлении.

Двунаправленные сдвиговые регистры — это регистры, способные сдвигать данные вправо или влево в зависимости от выбранного режима. Если выбран режим 1 (высокий), данные будут сдвинуты вправо, а если выбран режим 0 (низкий), данные будут сдвинуты влево.

Приведенная ниже логическая схема показывает двунаправленный сдвиговый регистр. Схема состоит из четырех D-триггеров, которые соединены между собой. Входные данные подключаются к двум концам схемы и в зависимости от выбранного режима выбирается только один и вентиль находится в активном состоянии.

Счетчик регистров сдвига –

Счетчики регистров сдвига – это регистры сдвига, в которых выходы соединяются обратно со входами для создания определенных последовательностей. В основном они бывают двух типов:

  1. Кольцевой счетчик –

    Кольцевой счетчик – это, по сути, счетчик сдвигового регистра, в котором выход первого триггера соединен со следующим триггером и т. д., а выход последнего триггер снова подается обратно на вход первого триггера, отсюда и название кольцевого счетчика. Шаблон данных в регистре сдвига будет циркулировать до тех пор, пока применяются тактовые импульсы.

    Приведенная ниже логическая схема показывает счетчик звонков. Схема состоит из четырех D-триггеров, которые соединены между собой. Поскольку схема состоит из четырех триггеров, шаблон данных будет повторяться через каждые четыре тактовых импульса, как показано в приведенной ниже таблице истинности:

    Кольцевой счетчик обычно используется, поскольку он является самодекодирующим. Для определения состояния счетчика не требуется дополнительная схема декодирования.

  2. Счетчик Джонсона –
    Счетчик Джонсона в основном представляет собой счетчик регистра сдвига, в котором выход первого триггера подключается к следующему триггеру и т. д., а инвертированный выход последнего триггера снова подается обратно на вход первого триггера. . Они также известны как счетчики с витыми кольцами.

    Приведенная ниже логическая схема представляет собой счетчик Джонсона. Схема состоит из четырех D-триггеров, которые соединены между собой. Счетчик Джонсона с n стадиями дает последовательность счета из 2n различных состояний, поэтому он также известен как счетчик mod-2n. Поскольку схема состоит из четырех триггеров, шаблон данных будет повторяться каждые восемь тактовых импульсов, как показано в таблице истинности ниже:0005

    Основное преимущество счетчика Джонсона состоит в том, что ему требуется всего n триггеров по сравнению с кольцевым счетчиком для передачи заданных данных для генерации последовательности из 2n состояний.

Применение сдвиговых регистров –

  • Сдвиговые регистры используются для временного хранения данных.
  • Сдвиговые регистры также используются для передачи данных и обработки данных.
  • Сдвиговые регистры последовательного ввода-вывода и параллельного ввода-вывода используются для создания временной задержки в цифровых схемах.
  • Сдвиговый регистр с последовательным входом и параллельным выходом используется для преобразования последовательных данных в параллельные данные, поэтому они используются в линиях связи, где требуется демультиплексирование линии данных на несколько параллельных линий.
  • A Параллельный регистр последовательного вывода, используемый для преобразования параллельных данных в последовательные.

Справочник –
Регистры – ee.usyd.edu.au

Что такое сдвиговый регистр? | Определение из TechTarget

От

  • Участник TechTarget

Сдвиговый регистр — это схема цифровой памяти, используемая в калькуляторах, компьютерах и системах обработки данных. Биты (двоичные цифры) входят в регистр сдвига с одного конца и выходят с другого конца. Два конца называются левым и правым. Триггеры, также известные как бистабильные вентили, хранят и обрабатывают данные.

В своей простейшей форме сдвиговый регистр представляет собой двунаправленную схему FIFO (первым поступил — первым обслужен). Когда бит вводится слева, все биты в регистре перемещаются на одну позицию вправо, а самый правый бит исчезает. Когда бит вводится справа, все биты перемещаются на одну позицию влево, а самый левый бит исчезает.

Сдвиговые регистры

обычно используются в преобразователях, преобразующих параллельные данные в последовательные или наоборот. Сдвиговые регистры также могут функционировать как цепи задержки и цифровые расширители импульсов.

Последнее обновление: август 2012 г.


Продолжить чтение О сдвиговом регистре

  • В блоге bildr описаны простые эксперименты со сдвиговым регистром.
тень IT

Shadow IT — это аппаратное или программное обеспечение на предприятии, которое не поддерживается центральным ИТ-отделом организации.

Сеть


  • DHCP (протокол динамической конфигурации хоста)

    DHCP (Dynamic Host Configuration Protocol) — это протокол управления сетью, используемый для динамического назначения IP-адреса любому …


  • облачная сеть радиодоступа (C-RAN)

    Облачная сеть радиодоступа (C-RAN) — это централизованная архитектура на основе облачных вычислений для сетей радиодоступа.


  • потоковая телеметрия сети

    Потоковая сетевая телеметрия — это служба сбора данных в режиме реального времени, в которой сетевые устройства, такие как маршрутизаторы, коммутаторы и …

Безопасность


  • кража учетных данных

    Кража учетных данных — это тип киберпреступления, связанный с кражей удостоверения личности жертвы.


  • суверенная идентичность

    Самостоятельная суверенная идентификация (SSI) — это модель управления цифровой идентификацией, в которой отдельные лица или предприятия владеют единолично . ..


  • Сертифицированный специалист по безопасности информационных систем (CISSP)

    Certified Information Systems Security Professional (CISSP) — это сертификат информационной безопасности, разработанный …

ИТ-директор


  • рассказывание историй о данных

    Рассказывание историй о данных — это процесс перевода анализа данных в понятные термины с целью повлиять на деловое решение…


  • оншорный аутсорсинг (внутренний аутсорсинг)

    Оншорный аутсорсинг, также известный как внутренний аутсорсинг, — это получение услуг от кого-то вне компании, но в пределах …


  • FMEA (анализ видов и последствий отказов)

    FMEA (анализ видов и последствий отказов) представляет собой пошаговый подход к сбору сведений о возможных точках отказа в …

HRSoftware


  • самообслуживание сотрудников (ESS)

    Самообслуживание сотрудников (ESS) — это широко используемая технология управления персоналом, которая позволяет сотрудникам выполнять множество связанных с работой . ..


  • платформа обучения (LXP)

    Платформа обучения (LXP) — это управляемая искусственным интеллектом платформа взаимного обучения, предоставляемая с использованием программного обеспечения как услуги (…


  • Поиск талантов

    Привлечение талантов — это стратегический процесс, который работодатели используют для анализа своих долгосрочных потребностей в талантах в контексте бизнеса …

Отдел обслуживания клиентов


  • виртуальный помощник (помощник ИИ)

    Виртуальный помощник, также называемый помощником ИИ или цифровым помощником, представляет собой прикладную программу, которая понимает естественный язык …


  • жизненный цикл клиента

    В управлении взаимоотношениями с клиентами (CRM) жизненный цикл клиента — это термин, используемый для описания последовательности шагов, которые проходит клиент.

Добавить комментарий

Ваш адрес email не будет опубликован. Обязательные поля помечены *