Электронные часы схема: ЭЛЕКТРОННЫЕ ЧАСЫ БУДИЛЬНИК САМОДЕЛЬНЫЕ

ЭЛЕКТРОННЫЕ ЧАСЫ БУДИЛЬНИК САМОДЕЛЬНЫЕ



Предлагаю для повторения схему простых электронных часов с будильником, выполненные на микроконтроллере типа PIC16F628A. Большим плюсом данных часов является светодиодный индикатор типа АЛС, для отображения времени. Лично мне порядком надоели всевозможные ЖКИ и хочется иметь возможность видеть время из любой точки комнаты в том числе в темноте, а не только прямо с хорошим освещением. Схема содержит минимум деталей и имеет отличную повторяемость. Часы испытаны на протяжении месяца, что показало их надежность и работоспособность. Думаю из всех схем в интернете, эта наиболее простая в сборке и запуске.

   Принципиальная схема электронных часов с будильником на микроконтроллере:

   Как видно из схемы часов, микроконтроллер является единственной микросхемой, используемой в данном устройстве. Для задания тактовой частоты используется кварцевый резонатор на 4 МГц. Для отображения времени использованы индикаторы красного цвета с общим анодом, каждый индикатор состоит из двух цифр с десятичными точками. В случае использования пьезоизлучателя, конденсатор С1 – 100 мкФ можно не ставить. 

   Можно применить любые индикаторы с общим анодом, лишь бы каждая цифра имела собственный анод. Чтоб электронные часы были хорошо видны в темноте и с большой дистанции – старайтесь выбрать АЛС-ки чем покрупнее. 

   Индикация в часах осуществляется динамически. В данный конкретный момент времени отображается лишь одна цифра, что позволяет значительно снизить потребление тока. Аноды каждой цифры управляются микроконтроллером PIC16F628A. Сегменты всех четырех цифр соединены вместе и через токоограничивающие резисторы R1 … R8 подключены к выводам порта МК. Поскольку засвечивание индикатора происходит очень быстро, мерцание цифр становится незаметным. 

   Для настройки минут, часов и будильника – используются кнопки без фиксации. В качестве выхода для сигнала будильника используется вывод 10, а в качестве усилителя – каскад на транзисторах VT1,2. Звукоизлучателем является пьезоэлемент типа ЗП. Для улучшения громкости вместо него можно поставить небольшой динамик. 

   Питаются часы от стабилизированного источника напряжением 5В. Можно и от батареек. В часах реализовано 9 режимов индикации. Переход по режимам осуществляется кнопками “+” и “-“. Перед выводом на индикацию самих показаний, на индикаторы выводится короткая подсказка названия режима. Длительность вывода подсказки – одна секунда.

   Кнопкой “Коррекция” часы – будильник переводятся в режим настроек. При этом кратковременная подсказка выводится на пол секунды, после чего корректируемое значение начинает мигать. Коррекция показаний осуществляется кнопками “+” и “-“. При длительном нажатии на кнопку, включается режим автоповтора, с заданной частотой. Все значения, кроме часов, минут и секунд, записываются в EEPROM и восстанавливаются после выключения – включении питания.

   Если в течение нескольких секунд ни одна из кнопок не нажата, то электронные часы переходят в режим отображения времени. Нажатием на кнопку “Вкл/Выкл” включается или выключается будильник, это действие подтверждается коротким звуком. При включенном будильнике светится точка в младшем разряде индикатора. Думал куда бы пристроить часы на кухне, и решил вмонтировать их прямо в газовую плиту:) Материал прислал in_sane.

   Форум по электронным часам



Схема электронных часов-будильника » Паятель.Ру

Категория: Часы

Электронные часы построены на основе комплекта микросхем К176ИЕ12, К176ИЕ13 и К176ИД2. Микросхемы очень хорошо работают с светодиодными семисегментными индикаторами, которые, хотя и недешевы, но отличаются высокой надежностью, и что самое главное не раздражают зрение как электролюминесцетные. Часы-будильник отсчитывают текущее время в часах и минутах, секунды индицируются одним светодиодом, который на табло размещается между разрядами часов и минут. Время будильника устанавливается в течении суток. При совпадении времени будильника и текущего времени включается музыкальный сигнал, один из восьми, который можно выбрать заранее.

Кроме срабатывания звукового сигнала часы-будильник могут включать любую нагрузку, питающуюся напряжением до 220В и имеющую мощность не более 100 Вт, это может быть радиоприемник, магнитофон, любой электроприбор не превышающий эту мощность.

Часы питаются от сети переменного тока 220В и от резервного источника на 9В (батарея типа «Кроны»). При отключении электроснабжения часы переходят на питание от резервного источника, при этом индикация, с целью экономии электроэнергии, отключается, а все остальные функции, включая и будильник (кроме выключателя электроприбора) сохраняются. Таким образом, даже если электричество отключили на сутки будильник прозвучит вовремя.

Еще одно преимущество — громкость будильника настолько высока, что разбудит даже самого крепко спящего человека.

Принципиальная схема показана на рисунке. Собственно часы-будильник собраны на микросхемах D1-D3 по упрощенной типовой схеме. Микросхема D1 К176ИЕ12 представляет собой формирователь временных последовательностей. Она содержит мультивибратор с кварцевым резонатором Q1 и два счетчика. Первый вырабатывает секундные и полсекундные импульсы, а также сдвинутые по фазе на четверть периода импульсы частотой 128 Гц для реализации динамической индикации. Второй счетчик имеет коэффициент пересчета 60 и служит для формирования минутных импульсов.

Микросхема D2 содержит счетчики часов и минут, а также ОЗУ будильника и формирователь сигнала будильника. Как только информация записанная в ОЗУ совпадает с текущем времени на выводе 7 D2 появляются пачки импульсов, которые в типовом включении должны поступать на пьезоизлучатель.

Установка текущего времени и будильника выполняется тремя кнопками S1-S3. При нажатии на S1 показания минут увеличиваются с частотой 2 Гц, при нажатии на S2 тоже самое происходит с показаниями часов. При нажатии S3 на индикацию выводится время установки будильника и при этом можно кнопками S1 и S2 установить время будильника.

D3 — дешифратор для индикаторов с семи-сегментным формированием цифр. Двоичный четырехразрядный код каждой цифры поступает на его входы «1-2-4-8», при этом смена данных в соответствии с динамической индикацией производится импульсом, поступающим на его вывод 1.

На выходе дешифратора включены четыре семисегментных индикатора АЛС324Б. Одинаковые катодные выводы сегментов этих индикаторов соединены вместе. Таким образом, что один и тот же код поступает сразу на катоды всех четырех индикаторов. Выбор какой из индикаторов при этом будет светиться производится при помощи четырех транзисторных ключей VT2-VT5, на базы которых поступают импульсные последовательности частотой 128 Гц и скважностью 4, сдвинутые по фазе относительно друг друга на четверть периода.

Сигнал будильника выпрямляется диодом VD8 и на конденсаторе С10 появляется некоторое напряжение, которое поступает на транзисторный ключ на VT8 и открывает его. При этом ток протекает через обмотку электромагнитного реле Р1, его контакты приходят в движение и замыкают цепь нагрузки «Н» и цепь «коллектор-эмиттер» транзистора VT8. Таким образом реле самоблокируется и остается во включенном состоянии даже тогда, когда сигнал будильника прекращается. Вывести реле из этого положения можно только кратковременным прекращением тока через него при помощи размыкающей кнопки S6.

Одновременно импульсы будильника поступают через конденсатор С7 на вход запуска (вывод 13) универсального музыкального синтезатора УМС8-08. Синтезатор запускается и импульсный сигнал с его выхода (вывод 1) поступает на транзисторный импульсный усилитель на VT6 и VT7. В коллекторной цепи VT7 включен динамический громкоговоритель.

В результате такого построения выходного каскада громкость звучания получается достаточно большой. При необходимости её снизить можно включением резистора на 20-100 Ом последовательно с динамиком. Отключается будильник при помощи выключателя SB1, который отключает динамик.

Питается УМС от параметрического стабилизатора на R18 и VD5. Тактовая частота на него поступает с вывода 14 D1 через С5. Кнопка S4 служит для ручного запуска синтезатора, a S5 для выбора мелодии которая должна будет исполняться.

Сетевой источник питания сделан на маломощном силовом трансформаторе Т1. Постоянное напряжение 12-15В с выхода мостового выпрямителя питает электромагнитное реле Р1. Схема часов питается от стабилизатора на VT9, вырабатывающего 10В. Резервный источник G1 подключается через диод VD6. Когда сетевое напряжение есть он защищен этим диодом и не используется. При отключении сетевого напряжения диод VD7 препятствует поступлению тока от G1 на светодиодные индикаторы.

Светодиодные индикаторы АЛС324Б можно заменить на любые другие семисегментные с общим анодом. Электромагнитное реле Р1 типа РЭС22 на напряжение 10-15В. Синтезатор УМС8-08 можно заменить на любой другой УМС из серии УМС8 и УМС7. Все транзисторы могут быть с любыми буквенными индексами. КТ 315 желательно заменить на КТ503.

Трансформатор Т1 готовый, на его вторичной обмотке имеется переменное напряжение 12В. Можно взять любой другой трансформатор с выходным напряжением 12-22В, при этом соответственно придется выбрать С11 на другое напряжение и реле, либо подключить реле через гасящий резистор.

Динамик любого типа, например от малогабаритного радиоприемника.

Цифровые часы старой школы | Журнал Nuts & Volts


» Перейти к дополнительным материалам

Проект часов с логикой CMOS и семисегментным дисплеем.

Есть проекты цифровых часов и есть действительно цифровых проектов часов. На одном полюсе находятся часы, полностью состоящие из отдельных транзисторов, резисторов и других дискретных компонентов, таких как агрессивно ретро-комплекты, произведенные KABtronics и описанные на этих страницах несколько лет назад [1]. Другой крайностью являются часы на базе микропроцессора с тысячами элементов схемы, сжатых в одну или несколько интегральных схем. Новый пример таких часов появился в мартовском номере журнала 9 за 2014 г.0010 Гайки и вольты [2].

Этот проект находится между этими крайностями, ближе к концу в хронологическом порядке. Это простые 12-часовые часы с интегральными схемами CMOS и семисегментным светодиодным дисплеем. Самые сложные ИС в нем содержат несколько триггеров и некоторую дополнительную логику. Часы показывают время на четырехразрядном дисплее — часы и минуты — с двоеточием, мигающим каждую секунду. Еще два светодиода попеременно показывают AM и PM. Два кнопочных переключателя позволяют устанавливать минуты и часы, и все это питается от небольшого настенного источника питания.

Структура

Цифровые часы состоят из четырех частей, как показано на рис. 1 .

РИСУНОК 1. Общий вид цифровых часов.


База времени выдает сигнал фиксированной частоты, циклы которого подсчитываются, чтобы отметить течение времени. Этот сигнал выводится либо из линейной частоты 60 Гц, либо, как здесь, из кварцевого генератора. Счетчики подсчитывают циклы сигнала базы времени и генерируют выходные данные, представляющие цифры. ИС драйвера декодируют эти выходные сигналы для управления отображает , которые показывают время. Наконец, источник питания обеспечивает питание, необходимое для других частей. В микропроцессорных часах почти все эти функции выполняются микропроцессором; здесь они распределены между девятью интегральными схемами и несколькими транзисторами.

База времени

База времени состоит из одного транзистора и двух интегральных схем. Транзистор — MPF-102 или аналогичный полевой транзистор — используется в генераторе с кварцевым управлением. Частоты многих генераторов можно разделить для управления часами; здесь частота кристалла 4,194304 МГц = 2 22 Гц. Переменный конденсатор в генераторе представляет собой подстроечный резистор; он позволяет слегка регулировать частоту генератора, чтобы часы вели точное время.

Первая интегральная схема — CD4521 (или MC14521), содержащая инвертор и цепочку из 24 триггеров. Выход каждого триггера соединен со входом следующего. Доступны выходы последних семи триггеров. Первый триггер получает сигнал генератора. Каждый триггер делит частоту принимаемого им сигнала на два, поэтому выход последнего триггера равен входной частоте, деленной на 224.

При входной частоте 2 22 Гц выход 20-го триггера 4 Гц; этот сигнал используется для быстрого перевода часов и минут для установки. Выход 20-секундного триггера составляет 1 Гц; этот сигнал мигает двоеточием на дисплее.

Выход последнего триггера составляет (1/4) Гц; то есть один цикл каждые четыре секунды. Этот сигнал поступает на предварительно устанавливаемый четырехбитный двоичный счетчик CD4029. Этот счетчик предварительно установлен на 15 путем подачи высоких сигналов, представляющих двоичные единицы, на его предварительно заданные входы, и он ведет обратный отсчет так, что он делит свою входную частоту на 15; 4 х 15 = 60, поэтому число 4029выход высшего порядка завершает один цикл каждую минуту. Этот сигнал приводит в действие счетчики часов. На рис. 2 показана схема базы времени.

РИСУНОК 2. База времени часов с кварцевым управлением.


Выход CD4029 используется для перезагрузки счетчика, когда его счет достигает нуля. В это время этот сигнал становится высоким, но предустановленный вход 4029 активен низким. Транзисторный инвертор переворачивает сигнал переноса, чтобы он сбрасывал счет соответствующим образом.

Я мог бы использовать другую микросхему, но это казалось неэлегантным для одного инвертора. Транзистор — как и другие в этом проекте — TN3019, потому что у меня их было много. Подойдет любой универсальный NPN.

Счет

Два сигнала времени — 4 Гц и 1/мин — поступают на счетную схему. На рис. 3 показана эта схема и схема дисплея, получающая ее выходные данные.

РИСУНОК 3. Цепи счета и индикации часов.


Для подсчета используются четыре микросхемы: двойной декадный счетчик CD4518; предустановленный декадный счетчик CD4510; двойной триггер JK CD4027; и четверной вентиль И CD4081. Счетчики и один из триггеров генерируют сигналы, указывающие цифры минут и часов. Давайте проследим за 1/минутным сигналом от базы времени через эти микросхемы.

Сигнал 1/минута поступает на один декадный счетчик 4518, который считает минуты и генерирует двоично-десятичное (BCD) представление цифры 1 с на своих четырех выходах. Выход 8 этого счетчика соединен с входом второго счетчика в 4518; когда счет минутных единиц переходит от 9в 0, этот выход переходит в низкий уровень, а второй счетчик увеличивает счет 10 секунд в минутах.

BCD и Counting

В цифровой логике сигналы являются двоичными: высокое и низкое напряжение представляют 1 и 0 соответственно. Одной из таких единиц информации является двоичная цифра или бит. 10 десятичных цифр могут быть представлены наборами из четырех битов, которые указывают значение каждой цифры в двоичной системе счисления, то есть в двоично-десятичном формате (BCD). 0000 представляет цифру «0;» 0001 представляет «1;» и так далее до 1001, что представляет «9». .’ Четыре бита представлены сигналами в четырех строках.

Триггер — это цифровая схема, выходной сигнал которой изменяет состояние — с низкого на высокий или с высокого на низкий — при каждом полном цикле входа. Таким образом, триггер производит выходной сигнал, частота которого составляет половину частоты его входного сигнала.

Счетчики на интегральных схемах представляют собой цепочки из четырех триггеров. Выход каждого из них является одновременно выходом счетчика и входом для следующего триггера в цепочке. Если сигнал с частотой f подается на первый триггер, четыре выхода имеют частоты f/2, f/4, f/8 и f/16. При отсутствии какой-либо дополнительной логики — двоичного счетчика — четыре выхода вместе многократно считают в двоичном виде от 0 до 15.

В используемых здесь счетчиках декад, таких как CD4518 и CD4510, дополнительная логика сбрасывает триггеры в 0, когда второй и четвертый выходы — представляющие 2 и 8 в сумме 10 — являются высокими. Четыре выхода циклически от 0000 до 1001; то есть через двоично-десятичное представление цифр от 0 до 9.

При счете 10 минут 0 должен следовать за 5, поскольку 59 минут переходят на 00. Для этого выходы 2 и 4 счетчика 10 минут подключаются к вентиль И — один из четырех в CD4081. Когда счет 10 секунд минуты достигает 6 в конце часа и в начале следующего, его выходы 2 и 4 имеют высокий уровень, поэтому выход И становится высоким. Это сбрасывает счетчик минут в 0 и посылает импульс следующему счетчику — CD4510, который считает час.

Как уже упоминалось, это 12-часовой формат часов, поэтому цифра 10 часов всегда либо пуста, либо равна 1, и нам не нужен полный счетчик, чтобы отслеживать ее; один из триггеров в CD4027 сделает эту работу. Когда счетчик часов в CD4510 переходит от 9 к 0, 4510 отправляет сигнал на тот триггер, выход Q которого становится высоким, чтобы указать 1 в позиции 10 часов.

На этом этапе все становится немного сложнее по двум причинам. Во-первых, счетчик часов должен пересчитываться после 12; то есть счетчик часов должен быть сброшен, когда он достигает (очень кратко) 13. Во-вторых, этот счетчик должен сбрасываться на 1, а не на 0, поскольку 1:00 следует за 12:59.. Еще два логических элемента И в 4081 обрабатывают первую проблему. Вместе они замечают, когда цифра 10 часов равна 1 (выход Q этого триггера имеет высокий уровень) и выходы 1 и 2 4510 имеют высокий уровень; то есть количество часов достигает 13. Выход второго логического элемента И становится высоким, что сбрасывает триггер — его выход Q становится низким — и повышает вход НАГРУЗКИ 4510, чьи предустановленные входы задают значение 1. ( Этот переход можно осуществить с помощью непредустановленного счетчика, но для этого требуется больше логики.Эта реализация оставлена ​​в качестве упражнения.)

Три декадных счетчика и один триггер теперь правильно отсчитывают минуты и часы, указанные 1/минутным сигналом от базы времени. Остается только правильно зажечь индикаторы AM и PM.

Эту задачу имеет смысл возложить на второй триггер в 4027. Он имеет взаимодополняющие выходы Q и ~Q, и в любой момент времени будет гореть ровно один из индикаторов. Однако утро становится днем, а вечер снова становится утром в 12:00, а не в 1:00, поэтому мы не можем использовать сигнал сброса часов для переключения этого триггера. К счастью, у нас уже есть (как Рисунок 3 показывает) соответствующий сигнал.

Один из логических элементов И становится высоким, когда сигнал часов 10s и сигнал часов 1s 2 оба имеют высокий уровень; то есть в 12:00. Этот сигнал поступает на вход второго триггера, так что каждый раз, когда счетчик часов достигает 12, он переключается (или перескакивает), выключая один индикатор и зажигая другой.

Два кнопочных переключателя подают сигнал 4 Гц на входы для подсчета минут и часов, чтобы быстро увеличить эти значения и установить минуты и часы.

Дисплеи

Выходы каскадов счетчиков поступают на ИС и транзисторы, которые управляют семисегментными индикаторами с общим анодом: 3-1/2 цифры для минут и часов и двоеточие между часами и минутами. Индикация минут и 10-ти секунд установлена ​​в перевернутом виде, так что ее десятичная точка и точка 1-секундной шкалы часов образуют двоеточие. Сигнал 1 Гц от базы времени управляет двоеточием между часами и минутами через транзисторный переключатель, поэтому двоеточие мигает каждую секунду.

Детали подключения к дисплеям зависят от конкретных выбранных дисплеев. Это могут быть четыре одноразрядных дисплея, два двуразрядных дисплея или один дисплей, содержащий все четыре цифры. Номера контактов на рисунке соответствуют последнему из них. В любом случае обратитесь к техническому описанию дисплея.

Декодеры CD4543 управляют тремя младшими цифрами. Они переводят двоично-десятичные сигналы со счетчиков в сигналы, включающие соответствующие сегменты дисплеев. Цифра 10 часов, двоеточие, а также индикаторы AM и PM управляются транзисторными переключателями, управляемыми сигналами от счетчиков и базы времени. 2N7000 использовался для управления цифрой 10 часов, потому что 2N3019не переключился в этой ситуации; вот почему мы макетируем.

Источник питания

На рис. 4 показан источник питания часов. Он начинается как минимум с 7 В постоянного тока от настенного источника питания. Трехвыводной стабилизатор 7805 обеспечивает регулируемое напряжение +5 В для всех интегральных схем. LM317 обеспечивает регулируемое положительное напряжение для дисплеев с общим анодом, для которых требуется менее 5 В. Чем выше это напряжение, тем ярче дисплей, поэтому потенциометр, устанавливающий это напряжение, управляет яркостью дисплея.

РИСУНОК 4. Блок питания часов с вводом от настенной розетки.


Строительство

Я мог бы спроектировать печатные платы для этого проекта и заказать их изготовление, но макетные платы (часто называемые макетными платами) удобны и удобны для разовых проектов. Для таких проектов на основе интегральных схем, как этот, моим фаворитом является RadioShack 276-168, который имеет ряды контактных площадок с тремя отверстиями, разделенных двумя шинами, а также несколько дополнительных контактных площадок с двумя отверстиями на одном конце.

Недавнее сокращение RadioShack означает, что эти платы не так легко найти, как раньше, но они остаются доступными.

Часы занимают три из этих плат. Один содержит источник питания и базу времени, с небольшими радиаторами на двух регуляторах. Подстроечный потенциометр устанавливает выходное напряжение LM317. Вторая плата содержит счетчики и связанную с ними логику, а третья содержит драйверы, дисплеи, светодиоды и переключатели настроек. Все микросхемы смонтированы в сокетах; дисплеи монтируются на SIP-розетки; и все три платы содержат несколько развязывающих конденсаторов емкостью 0,1 мкФ (на схеме не показаны) от +5 В до земли.

Дорожки платы не обозначены на сторонах компонентов, поэтому я выбрал по одной шине для заземления, а другую для питания +5 В, и обвел их черным и красным маркером Sharpie™ на сторонах платы. Проводка была выполнена проводом с твердой изоляцией калибра 24, причем красный цвет использовался последовательно для всех соединений + 5 В, а черный — для всех соединений заземления; сделайте это в первую очередь. Другие цвета использовались для наглядности и ясности.

Угловые разъемы и разъемы для крепления плат. С прокладками и стойками между ними доски образуют трехслойный сэндвич; разъемы позволяют легко разбирать сэндвич для отладки. На рис. 5 показаны три платы.

РИСУНОК 5. Три платы, составляющие часы.


Корпус часов согнут из двух кусков алюминия: основания и верха. Передняя панель представляет собой кусок прозрачного красного акрила 1/4 дюйма (оргстекло), просверленный для размещения четырех винтов по углам и переключателей настроек. (При сверлении акрила постепенно увеличивайте маленькие отверстия и делайте это медленно и осторожно. Слишком быстрое сверление может привести к застреванию сверла в материале. Зажимайте материал, а не держите его рукой. Сначала просверлите несколько пробных отверстий.)

Сэндвич из трех досок и акриловой панели крепится к основанию двумя винтами внизу, где распорки короче верхних на толщину акрила. На задней панели установлен разъем для подключения к сети. На рис. 6 показана внутренняя часть собранного блока.

РИСУНОК 6. Внутренняя часть собранных часов.


Саморезы для листового металла крепят верхнюю часть к скобам на задней части основания. Металлические части ограждения были вырезаны из уцелевшей панели, окрашенной слегка текстурированной серой краской. Краска потрескалась на изгибах, но еще один легкий слой серого зафиксировал это и сохранил текстуру. Минимальные этикетки были сделаны с помощью производителя этикеток Brother. На рис. 7 показаны готовые часы.

РИСУНОК 7. Готовые часы.


Регулировка

Подстроечный конденсатор в кварцевом генераторе позволяет слегка регулировать частоту генератора. Установите часы, определите в течение дня или двух, будут ли они работать быстро или медленно, и соответствующим образом измените настройку конденсатора. Это помогает набросать изображения настройки конденсатора, и этот процесс может занять несколько недель. Потерпи.

Вторые часы

При проектировании и создании прототипа часов, которые я только что описал, я построил второй источник питания и базовую плату времени. Для этого требуется входное напряжение около 8 В переменного тока, и поэтому он включает в себя двухполупериодный мост и конденсатор электролитического фильтра. Кроме того — вместо CD4029 — в нем используется 74C193, также предустановленный двоичный счетчик, который имеет то преимущество, что не требует инвертора между его выходом переноса и входом нагрузки. Так как эта плата уже была собрана и протестирована, я решил собрать из нее еще одни часы.

Опять же, было три платы: блок питания и база времени; счетчик, реализующий ту же схему, что и раньше; и драйвер и плата дисплея. Однако физическое устройство было другим. На этот раз блоки питания/времени и платы счетчиков образовали горизонтальный сэндвич.

Плата дисплея — секция Datak 12-600B — крепилась к стойке с помощью длинных прямоугольных разъемов и двух небольших угловых скоб Keystone с резьбой под винты 4-40. (Jameco хранит эти кронштейны под артикулом 1581530.)

На задней панели устройства были установлены переключатели и небольшой трансформатор на 8 В переменного тока вместе с трехпроводным линейным разъемом компьютерного типа. Как и прежде, узлы подключаются через разъемы и разъемы, включая (в данном случае) пятипроводное соединение от платы счетчика к переключателям. Три платы крепятся к нижней части корпуса, как показано на рис. 8 .

.

РИСУНОК 8. Внутренняя часть вторых часов.


На рис. 9 показаны все часы вместе с третьими, имеющими аналогичную (но более простую) конструкцию и 24-часовую диаграмму.

РИСУНОК 9. Два 12-часовых и 24-часовые часы.


Меры предосторожности

Если настройки переключателей прыгают, настройка часов представляет собой упражнение со случайными числами; Качественные выключатели — хорошая инвестиция. Такой проект содержит очень большое количество паяных соединений, часто расположенных близко друг к другу, поэтому есть много возможностей для холодных соединений и паяных перемычек. Создавайте поэтапно и тестируйте по ходу дела.

Осциллограф очень удобен для тестирования и отладки, и интересно посмотреть формы сигналов и частоты в различных точках схемы. Вы можете тестировать с сигналами более высокой частоты, чем 1/минуту. Модули полезны; Хорошо иметь возможность разобрать часы для тестирования и отладки.

Варианты

Возможны многие варианты этой общей конструкции часов. Различные частоты генератора могут быть разделены на частоты, необходимые для синхронизации, или сигнал 60 Гц может быть получен из линии 120 В переменного тока.

Часы такой общей конструкции могут быть построены на различных интегральных схемах. Многие микросхемы счетчиков широко доступны и недороги. ИС КМОП (серия 4000) намного экономичнее по мощности, чем ТТЛ (серия 7400).

24-часовые часы проще, чем 12-часовые. Он переключается с 23:59 до 00:00, и нет необходимости в светодиодах AM/PM, хотя необходима еще одна микросхема декодера/драйвера. Схеме подсчета минут может предшествовать идентичная схема, которая, начиная с сигнала частотой 1 Гц, считает и отображает секунды.

Описанные здесь часы потребляют не более 150 мА при напряжении 5 В, причем почти все это идет на дисплеи и светодиоды; Версии TTL могут потреблять до 500 мА, что требует более крупного трансформатора или настенного источника питания, а также серьезного радиатора для регуляторов; прикрепите радиаторы к металлической задней панели.

В любом случае ознакомьтесь с техническими описаниями выбранных вами микросхем, сначала создайте и отладьте макетную плату и сохраните макетную плату на время сборки постоянной версии. НВ


Ссылки

[1] Кит Байерн: « Transistor Clock », Nuts & Volts , июль 2009 г., стр. 42-46.
[2] Крейг А. Линдли: « Уникальные светодиодные часы », Nuts & Volts , март 2014 г. , стр. 33–39.


Перечень деталей

Ни одна из деталей не известна или труднодоступна. Все они должны быть доступны через крупных дистрибьюторов, таких как Digi-Key и Allied. Все резисторы 1/4Вт.

База времени:
CD4521 CMOS 24-ступенчатый делитель частоты
CD4029 КМОП-реверсивный счетчик с предварительной настройкой
MPF102 FET
2N2222 или другой NPN-транзистор общего назначения
4,194304 МГц кварцевый резонатор
1 мГн дроссель
30 пФ подстроечный конденсатор
.1 мкФ, 16 мкФ конденсатор
0 .0 .0 .0 Резистор 1M
Резистор 1K
2 — Резистор 470 Ом

Схемы счета и индикации общего анода:
Семисегментный светодиодный индикатор с общим анодом: 4 одноразрядных; 2 двузначных; или 1 четырехзначный, не мультиплексированный.
2 – Светодиоды
CD4518 Двойной счетчик CMOS
CD4510 КМОП-счетчик с предустановленным прямым/обратным счетчиком
CD4081 Четырехканальный логический элемент И с двумя входами
CD4027 Двойной триггер J-K
3 – CD4543 КМОП-защелка BCD-семисегментов/декодер/драйвер
3 – 2N2222 или другой NPN общего назначения Transistor
2N7000 МОСФЕТ
2-330 Ом
4-470 Ом резистор
2-120 Ом резистор
2-SPDT Momentary Tusmportes

Струкция питания:
LM7805 ТРИДЕР. Трёхвыводной регулируемый положительный регулятор в корпусе ТО-220
2 – 1 мкФ, электролитический конденсатор 16 В
Конденсатор 0,33 мкФ
Подстроечный резистор 5K
Резистор 240 Ом

Прочее:
Корпус
Тонированный прозрачный акрил, соответствующий дисплеям для настенного питания
Стойки
Четыре резиновые ножки
Фурнитура


Цифровые кварцевые часы с нуля | Эрик ван Зийст

После 20 с лишним лет программирования я хотел получить некоторое представление об электронике, которое сделало бы мою карьеру возможной.

Я построил основные отдельные логические элементы с транзисторами на макетной плате, но для создания чего-либо значимого мне нужно было их множество, поэтому я купил несколько случайных наборов логических микросхем на Amazon, которые познакомили меня с серией 7400 и концепцией. спецификаций.

Довольно случайный набор логических микросхем 74xx CMOS

После нескольких простых проектов мне захотелось создать что-то более полезное: кварцевые часы со светодиодным дисплеем.

Практичным способом создания часов было бы подключение 7-сегментных светодиодных дисплеев к Arduino или Raspberry Pi и написание нескольких строк кода для управления дисплеями. Однако для этого проекта я хотел использовать только базовые логические элементы и не использовать код.

Я начал с пары 7-сегментных светодиодных дисплеев. Обычно они имеют отдельные контакты для каждого светодиода и общий катод или анод. Чтобы отобразить число, вам просто нужно выяснить, какие светодиоды включить.

7-сегментный индикатор с общим катодом

Чтобы отобразить цифру 5, вы должны вывести высокие линии a, c, d, f и g. Номер 1 будет b и c. Для жесткого подключения шаблона для каждого числа требуется много проводов и логических элементов, поэтому были разработаны специализированные ИС, такие как 74HC4511, которая принимает 4-битное двоичное число (например, 0101 для 5) на своих 4 входных линиях D, C, B и A, и переводит соответствующие выходные линии в высокий уровень (в данном случае a, c, d, f и g).

74HC4511: Декодер BCD для 7-сегментного дисплея

Этот чип поддерживает только числа от 0 до 9, а не истинную ширину своего 4-битного ввода. Любой двоичный ввод больше 9 приводит к пустому отображению. Например, 1111 (15) не дает шестнадцатеричной буквы «F».

Это усеченное использование только младших 10 перестановок 4-битного двоичного числа известно как двоично-десятичное число или BCD.

Теперь, когда мы можем отображать числа от 0 до 9 с помощью BCD, мы можем подключить двоичный счетчик пульсаций ко входу 4511. Двоичный счетчик создается путем последовательного соединения нескольких триггеров, каждый из которых делит частоту своего входного тактового сигнала на два.

Это работает путем соединения инвертированного выхода триггера D-типа с тактовой частотой обратно с его входом.

D-триггер в качестве делителя частоты (www.electronics-tutorials.ws)

Соединяя n из них последовательно, мы получаем n -битный двоичный счетчик пульсаций. Примером может служить микросхема 74HC393, которая содержит два отдельных 4-битных счетчика пульсаций, каждый из которых считает от 0 до 15.

3-битный счетчик пульсаций (www.electronics-tutorials.ws), а не 15, поэтому я использовал 74HC390, который можно подключить для обеспечения 2 независимых счетчиков BCD.

На самом деле HC390 содержит этапы деления на 2 и деления на 5, каждый со своим собственным входным тактовым сигналом (CP0 и CP1). Подключив выход деления на 2 (Q0) к часам каскада деления на 5 (CP1), мы получим счетчик 0–9. HC390 содержит 2 таких контура.

Чтобы первый счетчик обеспечивал «перенос» тактового импульса на второй, когда он возвращается от 9 к 0, нам нужно добавить некоторую схему, которая ищет шаблон 1001 (десятичное число 9).) на выходных линиях BCD первого счетчика. Нам действительно нужно только искать 1 в строках A и D (Q0 и Q3 на HC390), поскольку 9 — единственное значение, которое соответствует этому.

Мы пропускаем эти две линии через логический элемент И (74HC08) и подключаем выход к тактовому входу второго счетчика (2CP0). Поскольку тактовый вход HC390 срабатывает по отрицательному фронту, тактовый импульс запускается, как только выход логического элемента И возвращается в исходное положение (когда первый счетчик возвращается с 9 обратно на 0).

Схема для 0–59отображение секунд

На данный момент у нас есть 2-разрядный дисплей, который считает от 0 до 99, поэтому нам нужно добавить сигнал сброса, который ограничивает отсчет 0-59 секунд. Для этого ищем цифру 6 (0110) на двоично-десятичном выходе второго счетчика. Мы видим, что нам нужно только И для строк 2Q1 и 2Q2, так как 6 — это первое значение, имеющее высокие средние 2 бита. Мы объединяем эти линии и подключаем выход к основной линии сброса второго счетчика (2MR), чтобы мгновенно сбросить его на 0, когда он достигает 6.

Стоит отметить, что технически мы считаем от 0 до 6, а не от 0 до 5, но значение 6 появляется всего на несколько десятков наносекунд, пока распространяется сброс, что слишком мало для того, чтобы светодиодный дисплей мог среагировать.

Отображение минут идентично схеме секунд, описанной выше, так как обе они имеют основание 60. У нас есть еще один двойной счетчик BCD 74HC390, первый из которых имеет линию синхронизации (CP0), подключенную к тому же сигналу, который управляет сбросом (2MR) отображения секунд. Таким образом, когда секунды переходят от 59 к 0, счетчик минут увеличивается на единицу.

Дополнительные 2 логических элемента И, необходимые для переноса и сброса секции минут, взяты из того же счетверенного И-чипа 74HC08, который используется для секунд.

Отображение часов немного отличается, так как это основание 24, разделенное на 2 десятичных отображения со следующими правилами:

  • правое (самое низкое) отображение отсчитывает от 0 до 9
  • возвращает цикл к 0 и отправляет перенос сигнал на левый дисплей
  • правый снова считает от 0 до 9
  • циклически возвращается к 0 и отправляет другой сигнал переноса на левый дисплей
  • правый дисплей считает от 0 до 4
  • когда комбинированные дисплеи достигают 24, отправляет сброс сигнал к обоим

Для левого дисплея, который считает от 0 до 2, мы используем только секцию счетчика деления на 5 с тактовым входом 2CP1, подключенным к сигналу переноса правого дисплея, идентичным схемам минут и секунд.

Схема для отображения 0–23 часов

Для сброса на 24 мы наблюдаем, что 24 в BCD равно 0010–0100, что является первым значением, которое имеет высокие значения как 2Q2, так и 1Q2, и поэтому мы можем И с ними и связать результат с обоими сбросить входы.

Чтобы вручную установить время, мы можем взять сигналы часов на счетчиках, подключить их к кнопкам, чтобы продвигать их по одному нажатию за раз. Для простоты я решил подражать интерфейсу многих радиочасов 70-х и 80-х годов, которые обычно имели три кнопки: одну, которую вы удерживали, чтобы войти в режим блокировки, затем одну, чтобы перевести минуты, и одну, чтобы перевести часы.

Старинные цифровые радиочасы Sonic 1980-х годов FM/AM

При установке времени мы должны остановить обычный сигнал часов, сбросить отображение секунд на 00, отключить обычный сигнал переноса с секунд на минуты и с минут на часы, чтобы вы могли зациклить счетчик минут без увеличения часовой секции.

Для этого мы пропускаем линии тактовых входов для счетчиков минут и часов через линейный мультиплексор 74HC157, который позволяет нам переключать тактовые входы с сигналов переноса на ручные кнопки.

Цифровой мультиплексор переключается между различными входными сигналами (Википедия)

74HC157 имеет четыре 2-линейных входа и одну линию выбора (S), которая определяет, какие из входных линий активны. Линия выбора подключена к кнопке «установить время».

Подсистема кнопок управления временем

Обратите внимание, что все сигналы по пути инвертируются. Это связано с тем, что тактовый вход счетчиков запускается отрицательным фронтом, а сигналы сброса/переноса, которые управляют тактовым сигналом следующего каскада, запускаются положительным фронтом.

Кнопка «установить время» не только управляет линией выбора мультиплексора, но и подключается к линии сброса второго счетчика, гарантируя их сброс на «00». Поскольку этот контакт сброса также управляется триггером 59-to-60, мне понадобился вентиль ИЛИ, чтобы соединить эти две линии. Тем не менее, поскольку это было единственное логическое ИЛИ, которое мне было нужно на плате, я не счел нужным добавлять полноценную счетверенную ИЛИ 74HC32 IC. Вместо этого я использовал два диода для создания вентиля ИЛИ, сэкономив большую часть места, которое заняла бы другая микросхема.

При подключении кнопок к входам инвертора необходимо учитывать контактный шум при нажатии или отпускании кнопки. Когда механический переключатель соприкасается с двумя металлическими контактами, существует очень короткий момент, в течение которого контакты «подпрыгивают», создавая и разрывая электрическое соединение.

Это видно на логическом анализаторе или осциллографе при достаточно высокой частоте дискретизации.

Механические переключатели не замыкают и не размыкают контакт (Джек Г. Ганссле)

Если бы мы подключили этот сигнал напрямую к входу счетчика, одно нажатие кнопки фактически увеличило бы показания счетчика на величину, равную количеству скачков.

Существует много способов смягчить это явление, аппаратно или, если используется микроконтроллер, программно. Мы сделаем это аппаратно, используя резисторы и конденсаторы, чтобы построить фильтр нижних частот для сглаживания перехода сигнала.

Когда кнопка не нажата, сигнал hour_button становится низким через R5 . При ее нажатии конденсатор C3 начинает заряжаться через резистор R1 , в результате чего напряжение на hour_button медленно подниматься.

С VCC при 3 В, R1 при 100 кОм и C3 при 100 нФ требуется около 11 миллисекунд для повышения напряжения до 2 В (высокий логический уровень КМОП). Если в течение этого времени соединение кратковременно дребезжит, напряжение больше не колеблется резко между 0В и 3В, а просто немного продлевает время заряда конденсатора. Это важно, потому что дикие колебания от рельса к рельсу вызывают цифровые колебания.

логических уровней CMOS (Sparkfun)

При отпускании C3 медленно разряжается через диод D1 и резистор R5 , предотвращая дребезг.

Но это еще не все.

3,3 В CMOS определяет низкий логический уровень как любое напряжение ниже 0,8 В, а все, что выше 2 В, как высокий логический уровень. Однако поведение между 0,8 В и 2 В не определено, и наш конденсатор заряжается в течение 11 мс, проводя долгое время в этой неопределенной зоне.

Чтобы предотвратить нежелательное поведение во время этого перехода, мы пропускаем сигнал через инвертор триггера Шмитта. Триггер Шмитта применяет гистерезис к входному сигналу, находясь в неопределенной зоне, определяя своим выходным состоянием его предыдущее состояние. Это означает, что при подъеме выше 0,8 В выход остается низким логическим уровнем до тех пор, пока не будет достигнуто 2 В, и наоборот при снижении.

На данный момент у нас есть почти все, кроме точного тактового сигнала. В истинно винтажном стиле я хотел использовать для этого кварцевый кристалл. Кварц — это материал, обладающий пьезоэлектрическими свойствами: он генерирует электрический заряд в ответ на механическое воздействие и слегка деформируется при воздействии электрического заряда.

Это свойство можно использовать для создания схемы кварцевого генератора. Сначала подайте напряжение на кристалл и подождите, пока он деформируется, чтобы противостоять заряду. Затем, когда он полностью деформируется, снимите заряд и подождите, пока кристалл противостоит изменению, восстанавливая свою форму, после чего мы снова применяем заряд.

Продолжительность деформации кристалла кварца напрямую связана с его толщиной, которая, в свою очередь, очень точно определяет его резонансную частоту. Таким образом можно резать кристаллы кварца с частотой от нескольких килогерц до сотен мегагерц.

Схема кварцевого генератора Пирса-Гейта

Механизмом переключения приложенного напряжения в конце каждой фазы часто является цифровой инвертор, который также обеспечивает усиление, необходимое для поддержания генерации.

Я выбрал «часовой кристалл» ECS-2X6X с резонансной частотой 32 768 Гц. Поскольку 32 768 равно 2¹⁵, мы можем пропустить сигнал через 15-ступенчатый делитель частоты, чтобы получить точную тактовую частоту 1 Гц.

Часовой кристалл с частотой 32 768 Гц, подключенный к комбинированному генератору 74HC4060 и 14-ступенчатому счетчику пульсаций

74HC4060 представляет собой комбинированный инвертор генератора и 14-ступенчатый счетчик пульсаций, который должен выдавать точный сигнал с частотой 2 Гц. Мы добавляем дополнительный одиночный триггер (74HC74), чтобы обеспечить окончательное 15-е деление до 1 Гц.

Логический анализатор показывает очень точный тактовый сигнал после 14 делений.

Со всеми этими компонентами мы наконец-то смогли подключить все на макетной плате для пробного запуска.

Я помню, как в детстве у меня были радиочасы, светодиодный дисплей которых автоматически регулировал яркость в зависимости от условий окружающего освещения. При дневном свете было очень светло, а ночью тускнело, поэтому не освещала всю комнату.

Если я собирался поместить это на печатную плату и использовать ее, она должна была иметь эту функцию.

В отличие от ламп накаливания, яркость светодиодов не так просто регулировать, изменяя напряжение или ток аналоговым способом. Типичный красный светодиод не будет излучать свет до тех пор, пока не будет подано около 2 В, и перегорит примерно при 3 В. При модуляции тока через переменный последовательный резистор мы получаем относительно низкую контрастность и различия в цветности или цветовой температуре.

Вместо этого яркостью светодиода легче управлять, заставляя его мигать очень быстро, изменяя время между включением и выключением с помощью процесса, называемого широтно-импульсной модуляцией или ШИМ.

Используя универсальную микросхему таймера 555, фоторезистор и несколько конденсаторов и резисторов, мы можем создать низкотехнологичный широтно-импульсный модулятор.

Типичная схема генератора 555 (www.electronics-tutorials.ws)

Типичная схема генератора 555 (нестабильный мультивибратор) показана выше. Конденсатор C1 заряжается через R1 + R2 ( t1 — выход на контакт 3 высокий) и разряжается через R2 ( t2 — контакт 3 низкий). При равном сопротивлении R1 и R2 интервал t1 (высокий) в два раза длиннее t2 (низкий). Говорят, что рабочий цикл составляет 67%.

Для создания ШИМ мы можем соединить общие катоды всех 7-сегментных дисплеев через NPN-транзистор с землей и соединить выход таймера 555 с базой транзистора.

Теперь мы хотели бы использовать фоторезистор для R2, ​​чтобы мы могли сократить или увеличить время разрядки (в течение которого светодиоды выключены), сохраняя при этом фиксированное время зарядки.

Поскольку рабочий цикл стандартной схемы не может быть ниже 50 %, мы добавили несколько диодов для обхода резистора R2 во время зарядки, зафиксировав время зарядки на уровне ~0,3 мс.

Адаптивная яркость с помощью широтно-импульсной модуляции, управляемой фоторезистором

Поскольку в полной темноте фоторезисторы потребляют много мегаом, я параллельно подключил резистор 470 кОм, чтобы ограничить время разряда максимальным значением 15 мс при частоте 64 Гц без мерцания (рабочий цикл ~2%). При полном дневном свете время разряда сокращается до 0,1 мс при рабочем цикле 80% на частоте ~ 2 кГц, что обеспечивает широкий диапазон интенсивности.

Несмотря на все это, прототип макетной платы не достигал полной яркости даже средь бела дня. Это оказалось из-за резистора R12 номиналом 100 кОм, который я первоначально использовал между 555 и транзистором. При 3В и 100К ток через базу 30мкА. Максимальный коэффициент усиления PN2222 составляет около 300, что ограничивает ток стока светодиодов на уровне 9 мА, чего недостаточно для работы всех дисплеев с полной яркостью.

Я хотел запитать схему через USB из-за его повсеместности. Тем не менее, USB составляет 5 В, и хотя микросхемы CMOS работают с этим нормально, в техническом описании кварцевого кристалла предлагается использовать его с 3 В и на основе этого приведены значения для последовательного резистора и нагрузочных конденсаторов. Работа при напряжении 5 В может потребовать тщательного пересчета этих компонентов.

Схема, предложенная в техническом описании кристалла, основана на 3 В

Вместо этого я решил добавить регулятор напряжения и запустить всю схему на 3 В. Поскольку я не смог найти готовых стабилизаторов с фиксированным напряжением 3 В (3,3 В — более популярное выходное напряжение), я выбрал регулируемый линейный стабилизатор LD1117V на 800 мА и добавил потенциометр, чтобы позже можно было настроить точное напряжение на плате.

Цепь регулятора напряжения

Шесть семисегментных индикаторов с 42 светодиодами являются основными потребителями энергии. При напряжении 3 В с последовательным резистором 150 Ом и падением напряжения 2 В каждый из них потребляет 7 мА, что в сумме составляет почти 300 мА.

Поскольку большинство меньших регуляторов TO-92 ограничены током ~100 мА, больше подходит 800 мА LD11187V.

В этот момент я очень хотел посмотреть, смогу ли я спроектировать печатную плату, чтобы вывести проект за рамки макета и превратить его в реально пригодную для использования вещь.

Не имея опыта EDA, я установил KiCad, следил за серией руководств DigiKey на YouTube и наткнулся на первоначальную двухслойную компоновку платы.

Компоновка печатной платы в KiCad

После нескольких недель мучительных корректировок и изменений я решил сделать решительный шаг и отправить дизайн в компанию по производству печатных плат для запуска прототипа. Я загрузил проект в ОшПарк и заказал минимальную партию из 3 досок.

Предварительный просмотр OshPark, созданный автоматически во время заказа

Тем временем я заказал у DigiKey достаточное количество компонентов для сборки 3 плат.

После 10 дней ожидания платы прибыли!

Получение этих первых досок было довольно волнительным, но и нервным. Было бы нелегко вносить изменения или исправлять ошибки, и как только все будет припаяно, даже отладка может стать проблемой.

Калибровка регулятора напряжения перед установкой кристалла

Именно в этот момент я столкнулся с первой реальной проблемой. В то время как схема кварцевого генератора работала нормально на макетной плате, на полностью собранной печатной плате она работала хуже. Он бежал слишком быстро. Ровно в 5 раз быстрее, чем должно.

Причиной несоответствия между поведением на макетной плате и на печатной плате может быть разница в емкости. При 22 пФ нагрузочные конденсаторы довольно малы, поэтому необходимо предвидеть и учитывать паразитную емкость от дорожек и проводов, а также добавочное сопротивление от плохих контактов макета.

нагрузочные конденсаторы и добавочный резистор. Теперь, когда все было спаяно, это было намного сложнее.

Тяжелый путь отладки

Высокая стоимость печатной платы для этого подхода методом проб и ошибок делала его маловероятным, и единственное изменение, которое мне удалось добиться, это конфигурация, при которой кристалл колебался точно 7 раз. раз быстрее, чем нужно. Мне не удалось снизить его частоту.

Измерение частоты колебаний с помощью логического анализатора

Я попытался понять фундаментальные свойства и математические расчеты цепей RLC и кварцевого генератора, чтобы понять влияние различных значений емкости нагрузки, последовательного сопротивления и напряжения на уровень возбуждения. и частота. Таким образом, я смогу точно определить правильные значения компонентов для моей платы.

begingroup\$ Редактировать: Моя основная цель — изучить математическую модель для расчета нагрузочного резистора \$R_s\$ до…

electronics.stackexchange. com

К сожалению, я больше инженер, чем академик и я должен был признать, что необходим альтернативный подход, если я хочу успешно завершить этот проект.

Тогда мой друг указал мне на микросхемы генераторов, которые содержат высокоточный резонатор вместе со всеми схемами, необходимыми для обеспечения стабильной и точной выходной частоты. Не требуется никаких настроек или внешних компонентов, что делает их удобной заменой схем на основе кварцевых кристаллов.

Если бы я мог заменить схему кварцевого генератора на печатной плате микросхемой генератора MEMS той же частоты и подключить ее к делителю тактовых импульсов 74HC4060, я мог бы запустить ее.

Он должен быть довольно маленьким, чтобы поместиться в существующем пространстве, и мне придется создать небольшой адаптер, чтобы обеспечить его питанием и подключить к нужным трассам.

Я выбрал SiT1630, который представляет собой MEMS-генератор с частотой 32 768 Гц в очень маленьком корпусе SOT23–5 (похоже, они не входят в сквозное отверстие).

Затем я создал очень маленькую печатную плату с расположением сквозных отверстий, точно совпадающим с расположением кварцевой схемы. Тогда я смогу использовать короткие одножильные провода не только для прокладки сигнальных соединений между двумя платами, но также использовать их для обеспечения структурного монтажного механизма.

На этой маленькой плате h3 подключается к одному из выводов GND нагрузочного конденсатора, h2 использует неподключенное сквозное отверстие другого конденсатора для прокладки провода питания. Этот провод проходит через заднюю часть платы, где он проходит, и соединяется с клеммой VCC ближайшей микросхемы.

h4 подключается к терминалу основания кристалла, который подключен к тактовому входу 74HC4060, в то время как h5 ни к чему не подключен и просто обеспечивает структурную поддержку.

Плата расширения MEMS вставляется в сквозные отверстия старой кварцевой схемы. Аппаратная заплатка

. Предыдущее решение добавить регулятор напряжения для работы платы на 3 В вместо USB-входа на 5 В оказалось неоценимым на данном этапе, поскольку все доступные МЭМС-генераторы на DigiKey не работают. номиналом 1,5–3,63 В.

Со всем этим у меня наконец-то появились рабочие часы!

Когда я делал печатную плату, я сделал 5 монтажных отверстий, и теперь пришло время сделать их полезными. Чтобы иметь возможность повесить его на стену, я создал очень простую 3D-печатную рамку в Tinkercad, которая оставила бы всю печатную плату видимой.

Прототипирование в местной библиотеке

Я использовал общедоступные принтеры в местной библиотеке, чтобы попробовать разные цвета и проверить размеры, а затем использовал 3D-хабы, чтобы заказать 3 копии (в конце концов, Ошпарк прислал мне 3 платы).

Конечный продукт, 1 из 3Настенный и официально находящийся в эксплуатации

Программные проекты редко бывают действительно «готовыми». С программным обеспечением вы можете просто создать новый коммит и выпустить его в любое время, постоянно улучшая качество, исправляя ошибки и добавляя функции. Вы можете протестировать наполовину готовую версию, примерить ее на размер и соответствующим образом отрегулировать. Это настолько нормальная часть разработки программного обеспечения, что ее легко принять как должное.

Я несколько недель менял и подправлял разводку печатной платы, но почти сразу же, как только загрузил ее в Ошпарк, я начал находить вещи, которые должен был изменить.

Первое, о чем я пожалел, так это о том, что не поставил между дисплеями вездесущие двойные мигающие точки с частотой 1 Гц. Это было бы тривиально, и они могли бы перестать мигать, когда вы нажимаете кнопку «установить время» в качестве визуального индикатора того, что вы поставили часы на паузу.

Еще одним было то, что самый первый дисплей, как и все остальные, будет показывать ноль. Однако большинство цифровых часов показывают полночь как «0:00» вместо «00:00», и это было бы приятно.

И, наконец, самое серьезное, я забыл сбросить делитель часов, когда нажимаю «установить время». Вместо этого я просто ставлю линию сброса счетчика секунд на высокий уровень. Как следствие, невозможно точно синхронизировать время с точностью до секунды.

Добавить комментарий

Ваш адрес email не будет опубликован. Обязательные поля помечены *